Advantages of FPGAs

FPGA design tools are increasingly available, allowing embedded control system designers to more quickly create and adapt FPGA hardware.

By Renee Robbins, Control Engineering February 1, 2010
LINKS
www.altera.com
www.atlantixeng.com
www.ni.com/ipnet
www.opalkelly.com
www.xilinx.com
Sidebars:
Blog, video series teaches FPGA techniques

A field programmable gate array (FPGA) contains a matrix of reconfigurable gate array logic circuitry that, when configured, is connected in a way that creates a hardware implementation of a software application. Increasingly sophisticated tools are enabling embedded control system designers to more quickly create and more easily adapt FPGA-based applications.

Unlike processors, FPGAs use dedicated hardware for processing logic and do not have an operating system. Because the processing paths are parallel, different operations do not have to compete for the same processing resources. That means speeds can be very fast, and multiple control loops can run on a single FPGA device at different rates.

Also, the reconfigurability of FPGAs can provide designers with almost limitless flexibility. “Unlike hard-wired printed circuit board [PCB] designs that have fixed hardware resources, FPGA-based systems can literally rewire their internal circuitry to allow configuration after the control system is deployed to the field,” says Christian Fritz, product manager for motion control and mechatronics for National Instruments.

In manufacturing and automation contexts, FPGAs are well-suited for use in robotics and machine tool applications, as well as for fan, pump, compressor and conveyor control. FPGAs are often used to reconfigure I/O module functionality. “For example, a digital input module can be used to simply read the true/false state of each digital line. Alternately, the same FPGA can be reconfigured to perform processing on the digital signals and measure pulse width, perform digital filtering, or even measure position and velocity from a quadrature encoder sensor,” says Fritz.

According to James Bonanno, P.E., of Atlantix Engineering, a company specializing in FPGA design consulting, “An engineer can tailor an FPGA design to fit a control algorithm, rather than fit the control algorithm to a processor’s capabilities. In effect, there is no bottleneck due to interrupts or event sequencers, as in DSP [digital signal processor] or microprocessor designs. Thus, FPGA devices are very attractive for realizing modern, complex digital controller designs,” he says.

Most real-time control systems, particularly those used in power electronics and ac motor drive applications, require fast processing, Bonanno explains. “For example, a control loop executing at 10e importantly, the peripherals can be tailored to fit the algorithm.” This is particularly true of high-speed A/D interfaces, resolvers and encoders, he adds.

Fritz agrees, saying FPGAs are a natural fit for high-end control applications. “Advanced control concepts like the vector control algorithm must be continuously recomputed, at a rate of 10 to 100 kHz. In parallel to the control algorithm, additional IP [intellectual property] blocks such as the high speed PWM [pulse width modulation] outputs need to execute without affecting control algorithm timing,” he explains. “An FPGA can be a perfect solution for this application, because it is capable of performing control algorithms with loop rates up to hundreds of kilohertz.”

Three major vendors provide FPGA hardware—Xilinx Inc., Altera Corp. and Lattice Semiconductor Corp.—as do a host of others. The use of FPGA technology has been supported by increasingly available design tools and modules, many of them application-specific. The Xilinx Targeted Design Platform, for example, brings together key elements needed to design FPGA-based industrial imaging systems. Such tools allow design teams to spend less time developing the infrastructure of an application and more time building differentiating features into the end application.

“Today there is a link between many different types of tools that can aid the engineer in doing an algorithmically intensive FPGA design,” says Bonanno. Effectively, there are no barriers to using FPGAs for high-performance, digitally controlled applications, he says.

Atlantix developed the HyperKinetix engine and Motor:FPGA and Power:FPGA design platforms to take advantage of FPGA technology and facilitate the adoption of hardware-based digital controllers. Atlantix product development projects include various servo drive designs, power factor correction and multichannel signal processing for industrial instrumentation.

Opal Kelly’s FPGA Integration Modules are tools that relieve design engineers from the time, expense, and reliability concerns of inventing their own PC interconnection for FPGA-based applications.

“We are aware of the problems facing engineers today, and we’re providing solutions that fill the time and expertise gap for efficient interconnect functionality,” says Opal Kelly president Jake Janovetz. “This allows development teams to focus on core competencies, thereby reducing overall design time and expense.”

The Opal Kelly FPGA USB 2.0 module includes the company’s FrontPanel SDK, a tool that accelerates the development of FPGA-based USB devices by providing three development components: The FrontPanel Software API to help the customer build the application; a driver to communicate with the device over USB; and pre-built HDL Modules that integrate with the customer’s HDL to make communication with the host PC easy. The tools have been used to create in-house test equipment, government and military applications, and prototype products.

“Opal Kelly FPGA integration modules solve the problem of engineering an FPGA-based system to communicate and transfer data between custom hardware/HDL and software in a PC environment,” says Janovetz. “Without our modules, an engineer would need to design, implement, and test an end-to-end system including: PC software and operating system drivers, firmware for a USB microcontroller, and communication between the USB and FPGA. Our modules eliminate this component from their design burden and allow them to focus on the pieces specific to their engineering problem.”

The NI LabView FPGA module delivers graphical development for FPGAs using the LabView language. Its target is NI’s Reconfigurable I/O (RIO) commercial-off-the-shelf (COTS) hardware. “LabView is well suited for FPGA programming because it clearly represents parallelism and data flow,” says Fritz. In addition, “hundreds of examples and additional functions like field-oriented control algorithms for LabView FPGA can be downloaded free of charge through the NI intellectual property network (IPNet), a companion site for LabView FPGA for searching, downloading, and exchanging IP algorithms.

Janovetz says Opal Kelly’s tools are “FPGA-agnostic,” but “our modules are designed with Xilinx FPGAs because of Xilinx’s stronger market presence. Our customers need some familiarity with the FPGA to implement pieces of their design, so it makes sense for our products to follow market trends.”

The gaps between Xilinx, Altera, and Lattice are narrowing, Janovetz says, with each new generation of hardware and tools providing new value to customers. However, that means the complexity of FPGAs is also growing with each new generation of hardware.

Last fall, Xilinx and ARM Holdings plc announced they are collaborating to enable ARM processor and interconnect technology to be implemented within Xilinx FPGAs. Xilinx is licensing ARM Cortex processor IP and using performance-optimized ARM cell libraries and embedded memories for future programmable platforms. In addition, the two companies said they will work together to define the next-generation of the AMBA on-chip interconnect technology, so that it can be used with FPGA architectures. ARM Holdings offers a number of processors specifically optimized for FPGAs from major vendors including Altera, Xilinx. and Actel Corp.

MIPS architecture option

Also last fall, Altera Corp. announced that it had licensed the MIPS32 architecture from MIPS Technologies Inc., an ARM Holdings rival. The deal marks the entry of the MIPS architecture into the FPGA realm, and allows Altera to provide more options for FPGA customers targeting networking, communications and multimedia applications.

“With this complexity and value come additional requirements placed on design resources,” says Janovetz. That means that, for low- to medium-volume projects, off-the-shelf FPGA development modules can be a huge time saver, and advance time-to-market schedules considerably. “Modules also can bring the tremendous flexibility of FPGAs to a project that would otherwise not have been able to take advantage of them due to tight schedules, thin design resources, or inexperience,” he says.

As an example of the time savings an off-the-shelf FPGA module can bring, consider this example from Jova Solutions, a developer of image sensor test equipment and an Opal Kelly customer. For Jova Solutions to have created the functionality of its XEM integration module, says Jova CEO Martin Vasey, “it would have taken us nearly a year of engineering time, plus $30,000 in out-of-pocket expenses. And that’s if we could have found a specialized engineer with expertise in this area. I estimate the Opal Kelly module has saved us over $100,000 and accelerated the time-to-market for our commercial product by 12 months.”

Author Information
Renee Robbins is senior editor of Control Engineering. Reach her at controleng@cfemedia.com.

Blog, video series teaches FPGA techniques

Xilinx, one of the major developers of field programmable gate arrays (FPGAs), has teamed up with EDN magazine to create “FPGA gurus,” an online resource of applications, news and other resources for engineers who design with FPGAs. Analyst Loring Wirbel covers programmable logic from an application perspective, providing a look at the vertical applications that help drive FPGA complexity, performance, and density. His blog also includes news of products and corporate trends from FPGA vendors and developers of third-party tools, as well as videos spotlighting new designs. www.edn.com/fpgagurus